基于FPGA的脉搏测试仪的设计

基于FPGA的脉搏测试仪的设计

基于FPGA的脉搏测试仪的设计

  • 适用:本科,大专,自考
  • 更新时间2024年
  • 原价: ¥293
  • 活动价: ¥200 (活动截止日期:2024-04-22)
  • (到期后自动恢复原价)
基于FPGA的脉搏测试仪的设计

                                     基于FPGA的脉搏测试仪的设计
摘要:本脉搏测试仪采用FPGA实现,减少了元器件使用数量,提高了测量精度和可靠性。系统包括三个模块:心电信号预处理模块、FPGA的信号处理模块和显示模块。FPGA的信号处理模块计算输入心电信号的心率和判断心率是否正常,输出心率值及预警信号,同时附属时钟功能。显示模块实现已计算出的脉搏信号的显示和预警。
关键词:心电采集  脉搏测量  VHDL

 

毕业设计说明书目录
1 引言……………………………………………………………………………………1
2 方案论证………………………………………………………………………………1
2.1方案一:………………………………………………………………………1
2.2方案二:……………………………………………………………………2
3各电路设计和论证……………………………………………………………………3
3.1核心处理模块设计与论证…………………………………………………3
3.2心电采集部分的设计…………………………………………………………7
3.3 时钟产生模块的实现……………………………………………………………………12
3.4 按键同步消抖电路………………………………………………………………………14
3.5 主要计算模块……………………………………………………………………………16
4 软件设计……………………………………………………………………………22
4.1时钟产生器程序…………………………………………………………………23
4.2按键消抖程序……………………………………………………………………24
4.3瞬时脉搏测试仪算的顶层程序……………………………………………………25
5 软硬件系统的调试…………………………………………………………………26
6 附录…………………………………………………………………………………28
7 参考文献……………………………………………………………………………29
参考文献
[1] 求是科技.HDL应用开发技术与工程实践[M].北京:人民邮电出版社,2005:100~135.
[2] 求是科技.CPLD/FPGA应用开发技术与工程实践[M].北京:人民邮电出版社,2005:13~21.
[3] 邓元庆,关羽,甲鹏.数字设计基础与应用[M].北京:清华大学出版社,2005: 282-292.
[4] 张凯,林佛.VHDL实例剖析[M].北京:国防科技出版社,2004: 129-135.
[5] 边计年,薛宏熙,吴强. 数字逻辑与VHDL设计[M].北京:清华大学出版社 2005:352-358.
[6] 齐洪喜, 陆颖.VHDL 电路设计实用教材[M].北京:清华大学出版社,2004:196~255.
[7] 王保华.生物医学测量与仪器[M].上海:复旦大学出版社,2003:23~45.
[8] 齐颁扬.医学仪器[M].北京:高等教育出版社,1990:45~76.
[9] 童师白,华成英.模拟电子技术基础[M].北京:高等教育出版社,1998:211~235.
[10] 阎石.数字电子技术基础[M](第四版).北京:高等教育出版社,1998:144~156.
[11] 唐笑年.便携式多功能脉搏监测仪[D].吉林:吉林大学,2004.
[12] 高泽利.快速脉搏测定仪的设计与制作[J].中国医学物理学杂志, 2003,18(3):157-160.
[13] 范红刚,冯成等.数字人体脉搏检测仪的设计[J].电子工程师, 2001,32(7 ):77-79.
[14] 姚鸣放,高磊.快速脉搏检测仪的研制[J].医疗设备信息,2003,19 (8):8-9.
[15] 魏庆国,奉华成.基于FPGA的数字式脉搏测试仪[J].电子技术应用,2005,7: 75-77.
[16] 蔡建新,张唯真.生物医学电子学[M],北京:北京大学出版社,1997,22~25.
[17] 王萌.改进的先行进位单元列除法器及其BIST实现方法[J].微型机与应用,2005, (1): 24-25.
[18] 葛亮.一种定点运算部件的设计与实现[D] .北京:中国科学院技术研究所 ,2002.
[19] 陈玉丹,衬建泗.基于VHDL的8位除法器的实现[J].微计算机信息, 2006, 22 (12):277-278.
[20] 何斌.FPGA的EDA设计方法[J].光学精密工程 , 1995, (06):14~21.
[21] 李刚.移动心电监护系统的研制[D].重庆:重庆大学,2001.
[22] 张晓琳.一种新型的心电监护模块的设计[D].成都:电子科技大学,2004 .
[23] 黄婷.基于LabVIEW的生物医学信号采集的处理系统的设计[D].长春:长春理工大学,2002.
[24] John G. Webster. Medical Instrumentation Application and Design.3th ed.[J] .New York:John  Wi1ey&Sons,Inc et al. 1998.
[25] Robert B. Northrop, Analog Electronic Circuits.Addison-Weseldy Publishing Company[J].
New York, 1990,21:12~15.
[26] Minhelli G, Schutz Y, Whitehead R. et al . Seasonal change in 24hr and basic energy expenditure in rural Gambian men as measured in a respiration chamber [J]. Am J Clin Nutr, 1991,4:56.
[27] Livingstone MBE, Prentice AM, Coward WA.et al . Simultaneous measurement of freeliving energy expenditure by the doubly labeled water method and heart rate monitoring [J]. Am J Clin Nutr, 1990,1:14.


  • 关键词 FPGA 脉搏 测试仪
  • 上一篇:摄像机在线标定棋盘角点自动检测
  • 下一篇:基于S7-200交流电机闭环调速及远程监控系统的设计
  • 暂无购买记录

    暂时没有评论

    真实

    多重认证,精挑细选的优质资源 优质老师。

    安全

    诚实交易,诚信为本。

    保密

    所有交易信息,都为您保密。

    专业

    10年专业经验,10年来帮助无数学子。