基于FPGA的DDS信号发生器的设计.

基于FPGA的DDS信号发生器的设计.

基于FPGA的DDS信号发生器的设计.

  • 适用:本科,大专,自考
  • 更新时间2024年
  • 原价: ¥314
  • 活动价: ¥200 (活动截止日期:2024-04-29)
  • (到期后自动恢复原价)
基于FPGA的DDS信号发生器的设计.

 基于FPGA的DDS信号发生器的设计
摘 要:介绍基于DDS 的信号发生器工作原理和设计过程,并对关键模块及外围电路进行了仿真和误差分析。经功能验证和分析测试,达到了预定的各项技术指标。旨在建立一种以FPGA 为核心,功能可裁剪、波形任意调整的高性能信号发生器设计方法。采用该设计法将有效地降低开发成本,提高设计效率,并具有一定的工程指导意义和实用价值。
关键词:信号发生器; 直接数字式频率合成器(DDS);现场可编程门阵列(FPGA)


信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。能够产生多种波形,如三角波、锯齿波、矩形波(含方波) 、正弦波的电路被称为函数信号发生器。函数信号发生器的实现方法通常是采用分立元件或单片专用集成芯片,但其频率不高,稳定性较差,且不易调试,开发和使用上都受到较大限制。随着可编程逻辑器件(FPGA) 的不断发展直接频率合成(DDS) 技术应用的愈加成熟,利用DDS 原理在FPGA 平台上开发高性能的多种波形信号发生器与基于DDS 芯片的信号发生器相比,成本更低,操作更加灵活,而且还能根据要求在线更新配置,系统开发趋于软件化、自定义化。本文研究了基于FPGA 的DDS 信号发生器设计,实现了满足预定指标的多波形输出。目前市面上有多种DDS(直接数字合成)信号源芯片,如美国AD公司的AD9850,这类专用芯片由于价格昂贵、功能固定单一,使其应用受到限制。在一个FPGA器件中就可以很方便地实现相位累加器和波形查找表。使用VHDL(甚高速集成电路硬件描述语言)在Quartus II工具软件的环境中进行设计,可以一气呵成地完成硬件设计、仿真、综合、测试,直至对FPGA器件的配置,从而大大简化了设计过程、提高了设计效率,并可以根据实际需要对功能进行灵活修改。
传统的信号发生器采用模拟电子技术,由分立元件构成振荡电路和整形电路,产生各种波形。它在电子信息、通信、工业等领域曾发挥了很大的作用。但是采用这种技术的波形发生器电路结构复杂、体积庞大、稳定度和准确度较差,随着微处理器性能的提高,出现了由微处理器、D/A以及相关硬件、软件构成的波形发生器。它扩展了波形发生器的功能,产生的波形也比以往复杂。实质上它采用了软件控制,利用微处理器控制D/A,就可以得到各种简单波形。但由于微处理器的速度限制,这种方式的波形发生器分辨率较低,频率切换速度较慢。
随着我国四个现代化和经济发展,我国在科技和生产各领域都取得了飞速的发展和进步,同时这也对相应的测试仪器和测试手段提出了更高的要求,而波形发生器已成为测试仪器中至关重要的一类,因此在国内发展波形发生器具有重大意义和实际价值。例如,它能模拟编码雷达信号、潜水艇特征信号、磁盘数据信号、机械振动瞬变过程、电视信号以及神经脉冲之类的波形,也能重演由数字示波器捕获的波形等。
信号发生器是电子实验室的基本设备之一,目前各类学校广泛使用的是标准产品,虽然功能齐全、性能指标较高,但是价格较贵,且许多功能用不上。本文介绍一种由集成电路MAX038设计的简易信号发生器,该仪器结构简单,虽然功能及性能指标赶不上标准信号发生器,但满足一般的实验要求.其成本低,体积小,便于携带等特点,亦可作为电子产品维修人员的重要随身设备之一。


毕业设计说明书目录
1引言………………………………………………………………………………….1
2DDS基本原理……………………………………………………………………….. 1
2.1相位累加器的原理框图…………………………………………….……...…2
2.2相位累加器的程序………………………………………………….………...3
2.3模块仿真与分析………………………………….………………………..….3
3FPGA的概述………………………………………………………………4
3.1 FPGA的简介…………………………………………………………… 4
3.2工作原理……………………………………………………………… 5
3.3FPGA的发展趋势………………………………………………………………5
3.3.1 未来可编程器件的发展趋势………………………………………… 6
3.3.2 FPGA的结构组成…………………………………………………………6
4系统总体方案设计………………………………………………………………7
5系统功能单元实现…………………………………………………………………8
5. 1波形数据产生单元………………………………………………………8
 5. 2 D/ A 转换单元……………………………………………………………9
5.2.1 D/A简介…………………………………………………………………9
5.2.2 AD9708的功能…………………………………………………………10
   5.3滤波处理单元………………………………………………………11
5.3.1滤波器的简介………………………………………………………12
5.3.2滤波器的组成………………………………………………………12
   5.4方案实现步骤………………………………………..……………12
6  系统功能仿真和验证分析…………………………………………………… 12
6.1频率控制字生成模块仿真与分析…………………………………………12
6.2 AT89C51的功能…………………………………………………………13
6.3原理模块……………………………………………………………………14
6. 4实验波形观测与误差分析…………………………………………………15
7  结语…………………………………………………………………………… 16

 

参 考 文 献
[1] 徐金龙,刘宇红,刘桥. 基于DDS 原理的任意波形信号发生器的设计. 现代机械,2006 (4) :74276.
[2] 贺敬凯. 基于FPGA 的信号发生器的设计. 深圳信息职业技术学院学报,2008 ,6 (2) :63266.
[3] 栾宝宽,方蕾,冯永浩. 基于DDS 的信号发生器的设计与实现. 电子工程师,2005 ,31 (10) :38239.
[4] 于鸿洲,宋立新,徐喆. 基于DDS 技术的函数波形发生器. 哈尔滨理工大学学报,2006 ,11 (5) :7213.
[5] 汤忠庆. 一种正弦波信号发生器的设计. 电子工程师,2006 ,32 (9) :29231.
[6] 郝小红,罗彪. 基于FPGA 的函数信号发生器[J ] . 电测与仪表,2008 ,45 (5) :49251.
[7] 王丹,李平,文玉梅. 采用DDS 频率合成的虚拟信号发生器研究. 传感技术学报,2007 , (3) :5862591.
[8] 李志鹏,郭勇,沈军. 基于DDS 技术实现信号发生器. 微计算机信息,2007 ,23 (2) :1752177.
[9] 王冠. 面向CPLD/ FPGA 的Verilog 设计. 北京:机械工业出版社,2007.
[10] 夏雨闻. Verilog 数字系统设计教程[M] . 北京:北京航空航天大学出版社,2005.


 

  • 关键词 FPGA DDS 信号发生器
  • 上一篇:基于FPGA的DDS正弦信号发生器的设计.
  • 下一篇:基于FPGA的8位数字频率计的设计.
  • 暂无购买记录

    暂时没有评论

    真实

    多重认证,精挑细选的优质资源 优质老师。

    安全

    诚实交易,诚信为本。

    保密

    所有交易信息,都为您保密。

    专业

    10年专业经验,10年来帮助无数学子。