基于VHDL语言实现序列检测器的设计.

基于VHDL语言实现序列检测器的设计.

基于VHDL语言实现序列检测器的设计.

  • 适用:本科,大专,自考
  • 更新时间2024年
  • 原价: ¥292
  • 活动价: ¥200 (活动截止日期:2024-04-28)
  • (到期后自动恢复原价)
基于VHDL语言实现序列检测器的设计.

基于EDA序列检测器设计
摘要:在硬件电子电路设计领域中,电子设计自动化(EDA)工具已经成为主要的设计手段,而VHDL语言则是EDA的关键技术之一,采用自顶向下的设计方法,即从系统总体要求出发,最后将各个功能模块连接成顶层模块,完成整个系统的组合。本文主要讲一种基于VHDL语言实现序列检测器的方案。
关键词:VHDL  状态机  序列检测   


EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可靠性,减轻了设计者的劳动强度。
EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。
利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。
随着电子技术的发展,数字系统的设计正朝着集成化、大规模和高速度方向发展。
电子设计自动化(EDA)已经广泛地应用在工业设计中,它可以直接的面向用户需求,根据系统的行为与功能的要求,自顶向下地逐渐完成相应的描述,综合,优化,仿真,验证,最后生成器件。而传统的基于门级描述的电路随着规模的扩大与功能的复杂已经很困难完成设计的要求,阳)L在这种情况下显示出了巨大的优势,它在硬件设计流域的地位就像C与C++在软件设计领域一样重要,而在大规模数字系统的设计中会更加受到青睐,并逐步的取代传统的逻辑状态图和逻辑电路图等硬件设计方法,成为主要的硬件描述工具。现在比较流行的HDL语言主要有两种:VHDL与Verilog HDL,这两种都成为IEEE的标准,各有其特点,但Verilog HDL比VHDL在代码的设计风格上更加简洁明了,灵活高效,更像C语言给编者更大的自由空间,在底层方面及开关级描述方面比VHDL有更加强大的功能,此外它还支持混合信号系统设计,相信它的应用前景会很不错。与基于原理图输入的设计方法相比,用硬件描述语言来进行系统设计更具有一般性,更高性,更适应于大系统和复杂系统的设计。因此用硬件描述语言对电子线路的表达和设计是EDA建模和实现技术中最基本和最重要的方法。其他的许多方法都是建立在这一基础之上的,因此VHDL对于掌握EDA技术是十分重要的。
本文通过序列信号检测器的设计为电子系统设计者展示了一种基于可编程逻辑器件借助VHDL语言及EDA工具进行设计的技术,使用该技术可大大缩短设计周期,降低成本,提高设计的可靠性、灵活性。借助EDA工具进行数字系统设计,已经成为当今系统设计者必须掌握的一门技术。
本文是基于EDA的序列检测器设计,通过VHDL语言的编写,实现序列检测的各项功能,从而达到软硬件相结合。其中FPGA/CPLD芯片是实现此功能的核心芯片,它的内部逻辑测试是应用设计可靠性的重要保证。

毕业设计说明书目录
1 引言------------------------------------------------------------12
2 方案论证--------------------------------------------------------13
 2.1 方案一:---------------------------------------------------13
  2.1.1 状态机------------------------------------------------13
2.2 方案二:---------------------------------------------------17
  2.2.1 JK触发器----------------------------------------------17
3 方案比较及选择------------------------------------------------20
4.软件设计--------------------------------------------------------21
 4.1程序流程-------------------------------------------------21
 4.2程序------------------------------------------------------22
5.软硬件系统的调试-----------------------------------------------23

 

 

 


参考文献
[1] 潘松 赵敏笑 编著 EDA技术及其应用 科学出版社 
[2] 刘爱荣 王振成 主编 曹瑞 卢印举 编著 EDA技术与CPLD/FPGA开发应用简明教程 清华大学出版社
[3] 焦素敏  主编 EDA技术基础 清华大学出版
[4] 刘欲晓 方强 黄宛宁等 编著 EDA技术与VHDL电路开发应用实践 电子工业出版社
[5] 杜伟略 主编 周志德 主审 80C51单片机及接口技术 化学工业出版社
[6] 沈任元 吴勇 主编 高等职业技术教育机电类专业教材编委会组编 数字电子技术基础 机械工业出版社
[7] 向宾 编著 EDA原理及应用实验教程 清华大学出版社

 

  • 关键词 VHDL 语言 实现 序列 检测器
  • 上一篇:基于Visual C++地信学院管理信息系统学生管理子系统的设计与实现.
  • 下一篇:基于PWM的自动门窗控制系统的设计.
  • 暂无购买记录

    暂时没有评论

    真实

    多重认证,精挑细选的优质资源 优质老师。

    安全

    诚实交易,诚信为本。

    保密

    所有交易信息,都为您保密。

    专业

    10年专业经验,10年来帮助无数学子。